Variable c:std_logic这句话是什么意思

来源:学生作业帮助网 编辑:作业帮 时间:2024/05/03 18:29:18
Variable c:std_logic这句话是什么意思

Variable c:std_logic这句话是什么意思
Variable c:std_logic这句话是什么意思

Variable c:std_logic这句话是什么意思
变量C: 标准_逻辑.